University stuff.
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

multi.circ 16KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477
  1. <?xml version="1.0" encoding="UTF-8" standalone="no"?>
  2. <project source="2.7.1" version="1.0">
  3. This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
  4. <lib desc="#Wiring" name="0">
  5. <tool name="Constant">
  6. <a name="facing" val="west"/>
  7. <a name="value" val="0x0"/>
  8. </tool>
  9. <tool name="Ground">
  10. <a name="facing" val="north"/>
  11. </tool>
  12. </lib>
  13. <lib desc="#Gates" name="1">
  14. <tool name="NOT Gate">
  15. <a name="facing" val="south"/>
  16. </tool>
  17. <tool name="AND Gate">
  18. <a name="facing" val="south"/>
  19. <a name="size" val="30"/>
  20. <a name="inputs" val="2"/>
  21. </tool>
  22. <tool name="OR Gate">
  23. <a name="facing" val="west"/>
  24. <a name="size" val="30"/>
  25. <a name="inputs" val="2"/>
  26. </tool>
  27. <tool name="XOR Gate">
  28. <a name="facing" val="south"/>
  29. <a name="size" val="30"/>
  30. <a name="inputs" val="2"/>
  31. </tool>
  32. </lib>
  33. <lib desc="#Plexers" name="2"/>
  34. <lib desc="#Arithmetic" name="3"/>
  35. <lib desc="#Memory" name="4">
  36. <tool name="ROM">
  37. <a name="contents">addr/data: 8 8
  38. 0
  39. </a>
  40. </tool>
  41. </lib>
  42. <lib desc="#I/O" name="5">
  43. <tool name="LED">
  44. <a name="facing" val="north"/>
  45. </tool>
  46. </lib>
  47. <lib desc="#Base" name="6">
  48. <tool name="Text Tool">
  49. <a name="text" val=""/>
  50. <a name="font" val="SansSerif plain 12"/>
  51. <a name="halign" val="center"/>
  52. <a name="valign" val="base"/>
  53. </tool>
  54. </lib>
  55. <main name="main"/>
  56. <options>
  57. <a name="gateUndefined" val="ignore"/>
  58. <a name="simlimit" val="1000"/>
  59. <a name="simrand" val="0"/>
  60. </options>
  61. <mappings>
  62. <tool lib="6" map="Button2" name="Menu Tool"/>
  63. <tool lib="6" map="Button3" name="Menu Tool"/>
  64. <tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
  65. </mappings>
  66. <toolbar>
  67. <tool lib="6" name="Poke Tool"/>
  68. <tool lib="6" name="Edit Tool"/>
  69. <tool lib="6" name="Text Tool">
  70. <a name="text" val=""/>
  71. <a name="font" val="SansSerif plain 12"/>
  72. <a name="halign" val="center"/>
  73. <a name="valign" val="base"/>
  74. </tool>
  75. <sep/>
  76. <tool lib="0" name="Pin">
  77. <a name="facing" val="south"/>
  78. <a name="tristate" val="false"/>
  79. </tool>
  80. <tool lib="0" name="Pin">
  81. <a name="facing" val="west"/>
  82. <a name="output" val="true"/>
  83. <a name="labelloc" val="east"/>
  84. </tool>
  85. <tool lib="1" name="NOT Gate"/>
  86. <tool lib="1" name="AND Gate"/>
  87. <tool lib="1" name="OR Gate"/>
  88. </toolbar>
  89. <circuit name="main">
  90. <a name="circuit" val="main"/>
  91. <a name="clabel" val=""/>
  92. <a name="clabelup" val="east"/>
  93. <a name="clabelfont" val="SansSerif plain 12"/>
  94. <wire from="(450,80)" to="(450,150)"/>
  95. <wire from="(660,420)" to="(660,430)"/>
  96. <wire from="(570,330)" to="(570,340)"/>
  97. <wire from="(450,410)" to="(450,420)"/>
  98. <wire from="(510,190)" to="(510,200)"/>
  99. <wire from="(290,330)" to="(290,340)"/>
  100. <wire from="(660,410)" to="(720,410)"/>
  101. <wire from="(380,420)" to="(380,430)"/>
  102. <wire from="(380,220)" to="(380,240)"/>
  103. <wire from="(500,380)" to="(500,400)"/>
  104. <wire from="(640,400)" to="(640,430)"/>
  105. <wire from="(220,380)" to="(220,400)"/>
  106. <wire from="(360,400)" to="(360,430)"/>
  107. <wire from="(500,90)" to="(500,190)"/>
  108. <wire from="(310,210)" to="(340,210)"/>
  109. <wire from="(310,410)" to="(340,410)"/>
  110. <wire from="(500,90)" to="(660,90)"/>
  111. <wire from="(590,410)" to="(620,410)"/>
  112. <wire from="(360,200)" to="(360,240)"/>
  113. <wire from="(410,210)" to="(420,210)"/>
  114. <wire from="(440,320)" to="(450,320)"/>
  115. <wire from="(500,380)" to="(510,380)"/>
  116. <wire from="(300,220)" to="(310,220)"/>
  117. <wire from="(300,420)" to="(310,420)"/>
  118. <wire from="(380,220)" to="(390,220)"/>
  119. <wire from="(360,200)" to="(370,200)"/>
  120. <wire from="(360,400)" to="(370,400)"/>
  121. <wire from="(520,280)" to="(520,340)"/>
  122. <wire from="(520,80)" to="(520,130)"/>
  123. <wire from="(220,380)" to="(230,380)"/>
  124. <wire from="(220,330)" to="(290,330)"/>
  125. <wire from="(430,110)" to="(430,170)"/>
  126. <wire from="(500,330)" to="(570,330)"/>
  127. <wire from="(580,420)" to="(590,420)"/>
  128. <wire from="(640,400)" to="(650,400)"/>
  129. <wire from="(520,410)" to="(520,420)"/>
  130. <wire from="(640,330)" to="(640,340)"/>
  131. <wire from="(240,410)" to="(240,420)"/>
  132. <wire from="(430,240)" to="(430,250)"/>
  133. <wire from="(450,420)" to="(450,430)"/>
  134. <wire from="(360,330)" to="(360,340)"/>
  135. <wire from="(290,380)" to="(290,400)"/>
  136. <wire from="(590,80)" to="(590,110)"/>
  137. <wire from="(410,180)" to="(410,210)"/>
  138. <wire from="(430,400)" to="(430,430)"/>
  139. <wire from="(570,380)" to="(570,400)"/>
  140. <wire from="(430,110)" to="(590,110)"/>
  141. <wire from="(380,410)" to="(410,410)"/>
  142. <wire from="(430,240)" to="(440,240)"/>
  143. <wire from="(430,400)" to="(440,400)"/>
  144. <wire from="(500,190)" to="(510,190)"/>
  145. <wire from="(510,280)" to="(520,280)"/>
  146. <wire from="(570,330)" to="(640,330)"/>
  147. <wire from="(290,380)" to="(300,380)"/>
  148. <wire from="(370,220)" to="(380,220)"/>
  149. <wire from="(370,420)" to="(380,420)"/>
  150. <wire from="(500,190)" to="(500,240)"/>
  151. <wire from="(310,280)" to="(310,340)"/>
  152. <wire from="(650,420)" to="(660,420)"/>
  153. <wire from="(570,380)" to="(580,380)"/>
  154. <wire from="(290,330)" to="(360,330)"/>
  155. <wire from="(660,80)" to="(660,90)"/>
  156. <wire from="(720,460)" to="(720,470)"/>
  157. <wire from="(520,420)" to="(520,430)"/>
  158. <wire from="(360,130)" to="(360,200)"/>
  159. <wire from="(590,410)" to="(590,420)"/>
  160. <wire from="(240,420)" to="(240,430)"/>
  161. <wire from="(450,190)" to="(450,200)"/>
  162. <wire from="(430,330)" to="(430,340)"/>
  163. <wire from="(450,270)" to="(450,280)"/>
  164. <wire from="(310,210)" to="(310,220)"/>
  165. <wire from="(310,410)" to="(310,420)"/>
  166. <wire from="(360,380)" to="(360,400)"/>
  167. <wire from="(520,220)" to="(520,240)"/>
  168. <wire from="(470,210)" to="(470,230)"/>
  169. <wire from="(430,170)" to="(430,200)"/>
  170. <wire from="(430,250)" to="(430,280)"/>
  171. <wire from="(410,230)" to="(410,260)"/>
  172. <wire from="(500,400)" to="(500,430)"/>
  173. <wire from="(720,330)" to="(720,410)"/>
  174. <wire from="(640,380)" to="(640,400)"/>
  175. <wire from="(220,400)" to="(220,430)"/>
  176. <wire from="(520,130)" to="(520,220)"/>
  177. <wire from="(360,130)" to="(520,130)"/>
  178. <wire from="(450,410)" to="(480,410)"/>
  179. <wire from="(450,150)" to="(450,190)"/>
  180. <wire from="(450,230)" to="(450,270)"/>
  181. <wire from="(430,250)" to="(440,250)"/>
  182. <wire from="(430,170)" to="(440,170)"/>
  183. <wire from="(410,230)" to="(420,230)"/>
  184. <wire from="(660,90)" to="(660,340)"/>
  185. <wire from="(440,420)" to="(450,420)"/>
  186. <wire from="(500,400)" to="(510,400)"/>
  187. <wire from="(470,210)" to="(480,210)"/>
  188. <wire from="(300,280)" to="(310,280)"/>
  189. <wire from="(360,380)" to="(370,380)"/>
  190. <wire from="(220,400)" to="(230,400)"/>
  191. <wire from="(290,150)" to="(290,200)"/>
  192. <wire from="(380,280)" to="(380,340)"/>
  193. <wire from="(640,380)" to="(650,380)"/>
  194. <wire from="(360,330)" to="(430,330)"/>
  195. <wire from="(660,410)" to="(660,420)"/>
  196. <wire from="(240,210)" to="(240,340)"/>
  197. <wire from="(590,420)" to="(590,430)"/>
  198. <wire from="(220,330)" to="(220,340)"/>
  199. <wire from="(500,330)" to="(500,340)"/>
  200. <wire from="(310,420)" to="(310,430)"/>
  201. <wire from="(380,410)" to="(380,420)"/>
  202. <wire from="(310,220)" to="(310,240)"/>
  203. <wire from="(450,320)" to="(450,340)"/>
  204. <wire from="(430,380)" to="(430,400)"/>
  205. <wire from="(570,400)" to="(570,430)"/>
  206. <wire from="(720,120)" to="(720,330)"/>
  207. <wire from="(290,400)" to="(290,430)"/>
  208. <wire from="(240,210)" to="(270,210)"/>
  209. <wire from="(240,410)" to="(270,410)"/>
  210. <wire from="(290,150)" to="(450,150)"/>
  211. <wire from="(520,410)" to="(550,410)"/>
  212. <wire from="(450,230)" to="(470,230)"/>
  213. <wire from="(290,200)" to="(290,240)"/>
  214. <wire from="(590,110)" to="(590,340)"/>
  215. <wire from="(440,190)" to="(450,190)"/>
  216. <wire from="(430,380)" to="(440,380)"/>
  217. <wire from="(440,270)" to="(450,270)"/>
  218. <wire from="(510,420)" to="(520,420)"/>
  219. <wire from="(510,220)" to="(520,220)"/>
  220. <wire from="(290,200)" to="(300,200)"/>
  221. <wire from="(290,400)" to="(300,400)"/>
  222. <wire from="(370,280)" to="(380,280)"/>
  223. <wire from="(230,420)" to="(240,420)"/>
  224. <wire from="(640,330)" to="(720,330)"/>
  225. <wire from="(430,330)" to="(500,330)"/>
  226. <wire from="(570,400)" to="(580,400)"/>
  227. <comp lib="6" loc="(645,517)" name="Text">
  228. <a name="text" val="2"/>
  229. </comp>
  230. <comp lib="0" loc="(450,80)" name="Pin">
  231. <a name="facing" val="south"/>
  232. <a name="tristate" val="false"/>
  233. </comp>
  234. <comp lib="1" loc="(370,380)" name="XOR Gate">
  235. <a name="facing" val="south"/>
  236. <a name="size" val="30"/>
  237. <a name="inputs" val="2"/>
  238. </comp>
  239. <comp lib="1" loc="(270,410)" name="AND Gate">
  240. <a name="facing" val="west"/>
  241. <a name="size" val="30"/>
  242. <a name="inputs" val="2"/>
  243. </comp>
  244. <comp lib="6" loc="(723,516)" name="Text">
  245. <a name="text" val="1"/>
  246. </comp>
  247. <comp lib="1" loc="(410,180)" name="AND Gate">
  248. <a name="facing" val="west"/>
  249. <a name="size" val="30"/>
  250. <a name="inputs" val="2"/>
  251. </comp>
  252. <comp lib="1" loc="(300,470)" name="XOR Gate">
  253. <a name="facing" val="south"/>
  254. <a name="size" val="30"/>
  255. <a name="inputs" val="2"/>
  256. </comp>
  257. <comp lib="6" loc="(300,516)" name="Text">
  258. <a name="text" val="64"/>
  259. </comp>
  260. <comp lib="6" loc="(589,48)" name="Text">
  261. <a name="text" val="2"/>
  262. </comp>
  263. <comp lib="1" loc="(580,380)" name="XOR Gate">
  264. <a name="facing" val="south"/>
  265. <a name="size" val="30"/>
  266. <a name="inputs" val="2"/>
  267. </comp>
  268. <comp lib="6" loc="(518,47)" name="Text">
  269. <a name="text" val="4"/>
  270. </comp>
  271. <comp lib="6" loc="(443,516)" name="Text">
  272. <a name="text" val="16"/>
  273. </comp>
  274. <comp lib="1" loc="(580,470)" name="XOR Gate">
  275. <a name="facing" val="south"/>
  276. <a name="size" val="30"/>
  277. <a name="inputs" val="2"/>
  278. </comp>
  279. <comp lib="0" loc="(590,80)" name="Pin">
  280. <a name="facing" val="south"/>
  281. <a name="tristate" val="false"/>
  282. </comp>
  283. <comp lib="1" loc="(300,380)" name="XOR Gate">
  284. <a name="facing" val="south"/>
  285. <a name="size" val="30"/>
  286. <a name="inputs" val="2"/>
  287. </comp>
  288. <comp lib="0" loc="(720,120)" name="Pin">
  289. <a name="facing" val="south"/>
  290. <a name="tristate" val="false"/>
  291. </comp>
  292. <comp lib="1" loc="(270,210)" name="AND Gate">
  293. <a name="facing" val="west"/>
  294. <a name="size" val="30"/>
  295. <a name="inputs" val="2"/>
  296. </comp>
  297. <comp lib="6" loc="(508,517)" name="Text">
  298. <a name="text" val="8"/>
  299. </comp>
  300. <comp lib="1" loc="(230,470)" name="XOR Gate">
  301. <a name="facing" val="south"/>
  302. <a name="size" val="30"/>
  303. <a name="inputs" val="2"/>
  304. </comp>
  305. <comp lib="6" loc="(127,414)" name="Text">
  306. <a name="text" val="Cout not necessary"/>
  307. </comp>
  308. <comp lib="1" loc="(650,380)" name="XOR Gate">
  309. <a name="facing" val="south"/>
  310. <a name="size" val="30"/>
  311. <a name="inputs" val="2"/>
  312. </comp>
  313. <comp lib="0" loc="(660,80)" name="Pin">
  314. <a name="facing" val="south"/>
  315. <a name="tristate" val="false"/>
  316. </comp>
  317. <comp lib="1" loc="(650,470)" name="XOR Gate">
  318. <a name="facing" val="south"/>
  319. <a name="size" val="30"/>
  320. <a name="inputs" val="2"/>
  321. </comp>
  322. <comp lib="5" loc="(440,470)" name="LED">
  323. <a name="facing" val="north"/>
  324. </comp>
  325. <comp lib="5" loc="(230,470)" name="LED">
  326. <a name="facing" val="north"/>
  327. </comp>
  328. <comp lib="1" loc="(440,380)" name="XOR Gate">
  329. <a name="facing" val="south"/>
  330. <a name="size" val="30"/>
  331. <a name="inputs" val="2"/>
  332. </comp>
  333. <comp lib="1" loc="(620,410)" name="AND Gate">
  334. <a name="facing" val="west"/>
  335. <a name="size" val="30"/>
  336. <a name="inputs" val="2"/>
  337. </comp>
  338. <comp lib="1" loc="(480,410)" name="AND Gate">
  339. <a name="facing" val="west"/>
  340. <a name="size" val="30"/>
  341. <a name="inputs" val="2"/>
  342. </comp>
  343. <comp lib="1" loc="(510,280)" name="XOR Gate">
  344. <a name="facing" val="south"/>
  345. <a name="size" val="30"/>
  346. <a name="inputs" val="2"/>
  347. </comp>
  348. <comp lib="1" loc="(510,470)" name="XOR Gate">
  349. <a name="facing" val="south"/>
  350. <a name="size" val="30"/>
  351. <a name="inputs" val="2"/>
  352. </comp>
  353. <comp lib="1" loc="(440,240)" name="XOR Gate">
  354. <a name="facing" val="south"/>
  355. <a name="size" val="30"/>
  356. <a name="inputs" val="2"/>
  357. </comp>
  358. <comp lib="1" loc="(440,470)" name="XOR Gate">
  359. <a name="facing" val="south"/>
  360. <a name="size" val="30"/>
  361. <a name="inputs" val="2"/>
  362. </comp>
  363. <comp lib="5" loc="(510,470)" name="LED">
  364. <a name="facing" val="north"/>
  365. </comp>
  366. <comp lib="5" loc="(650,470)" name="LED">
  367. <a name="facing" val="north"/>
  368. </comp>
  369. <comp lib="6" loc="(664,50)" name="Text">
  370. <a name="text" val="1"/>
  371. </comp>
  372. <comp lib="6" loc="(579,516)" name="Text">
  373. <a name="text" val="4"/>
  374. </comp>
  375. <comp lib="1" loc="(510,380)" name="XOR Gate">
  376. <a name="facing" val="south"/>
  377. <a name="size" val="30"/>
  378. <a name="inputs" val="2"/>
  379. </comp>
  380. <comp lib="1" loc="(550,410)" name="AND Gate">
  381. <a name="facing" val="west"/>
  382. <a name="size" val="30"/>
  383. <a name="inputs" val="2"/>
  384. </comp>
  385. <comp lib="5" loc="(720,470)" name="LED">
  386. <a name="facing" val="north"/>
  387. </comp>
  388. <comp lib="5" loc="(370,470)" name="LED">
  389. <a name="facing" val="north"/>
  390. </comp>
  391. <comp lib="1" loc="(370,280)" name="XOR Gate">
  392. <a name="facing" val="south"/>
  393. <a name="size" val="30"/>
  394. <a name="inputs" val="2"/>
  395. </comp>
  396. <comp lib="6" loc="(371,517)" name="Text">
  397. <a name="text" val="32"/>
  398. </comp>
  399. <comp lib="0" loc="(520,80)" name="Pin">
  400. <a name="facing" val="south"/>
  401. <a name="tristate" val="false"/>
  402. </comp>
  403. <comp lib="1" loc="(340,410)" name="AND Gate">
  404. <a name="facing" val="west"/>
  405. <a name="size" val="30"/>
  406. <a name="inputs" val="2"/>
  407. </comp>
  408. <comp lib="6" loc="(449,47)" name="Text">
  409. <a name="text" val="8"/>
  410. </comp>
  411. <comp lib="1" loc="(480,210)" name="AND Gate">
  412. <a name="facing" val="west"/>
  413. <a name="size" val="30"/>
  414. <a name="inputs" val="2"/>
  415. </comp>
  416. <comp lib="6" loc="(741,115)" name="Text">
  417. <a name="text" val="m"/>
  418. </comp>
  419. <comp lib="1" loc="(440,320)" name="XOR Gate">
  420. <a name="facing" val="south"/>
  421. <a name="size" val="30"/>
  422. <a name="inputs" val="2"/>
  423. </comp>
  424. <comp lib="1" loc="(370,470)" name="XOR Gate">
  425. <a name="facing" val="south"/>
  426. <a name="size" val="30"/>
  427. <a name="inputs" val="2"/>
  428. </comp>
  429. <comp lib="5" loc="(300,470)" name="LED">
  430. <a name="facing" val="north"/>
  431. </comp>
  432. <comp lib="1" loc="(230,380)" name="XOR Gate">
  433. <a name="facing" val="south"/>
  434. <a name="size" val="30"/>
  435. <a name="inputs" val="2"/>
  436. </comp>
  437. <comp lib="1" loc="(410,410)" name="AND Gate">
  438. <a name="facing" val="west"/>
  439. <a name="size" val="30"/>
  440. <a name="inputs" val="2"/>
  441. </comp>
  442. <comp lib="5" loc="(580,470)" name="LED">
  443. <a name="facing" val="north"/>
  444. </comp>
  445. <comp lib="6" loc="(228,512)" name="Text">
  446. <a name="text" val="128"/>
  447. </comp>
  448. <comp lib="1" loc="(300,280)" name="XOR Gate">
  449. <a name="facing" val="south"/>
  450. <a name="size" val="30"/>
  451. <a name="inputs" val="2"/>
  452. </comp>
  453. <comp lib="1" loc="(340,210)" name="AND Gate">
  454. <a name="facing" val="west"/>
  455. <a name="size" val="30"/>
  456. <a name="inputs" val="2"/>
  457. </comp>
  458. <comp lib="0" loc="(720,460)" name="Ground">
  459. <a name="facing" val="north"/>
  460. </comp>
  461. <comp lib="1" loc="(390,220)" name="OR Gate">
  462. <a name="facing" val="west"/>
  463. <a name="size" val="30"/>
  464. <a name="inputs" val="2"/>
  465. </comp>
  466. <comp lib="1" loc="(200,410)" name="AND Gate">
  467. <a name="facing" val="west"/>
  468. <a name="size" val="30"/>
  469. <a name="inputs" val="2"/>
  470. </comp>
  471. <comp lib="1" loc="(410,260)" name="AND Gate">
  472. <a name="facing" val="west"/>
  473. <a name="size" val="30"/>
  474. <a name="inputs" val="2"/>
  475. </comp>
  476. </circuit>
  477. </project>