University stuff.
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

addsub.circ 20KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566
  1. <?xml version="1.0" encoding="UTF-8" standalone="no"?>
  2. <project source="2.13.20" version="1.0">
  3. This file is intended to be loaded by Logisim-evolution (https://github.com/reds-heig/logisim-evolution).
  4. <lib desc="#Wiring" name="0"/>
  5. <lib desc="#Gates" name="1">
  6. <tool name="AND Gate">
  7. <a name="size" val="30"/>
  8. </tool>
  9. <tool name="OR Gate">
  10. <a name="facing" val="south"/>
  11. <a name="size" val="30"/>
  12. </tool>
  13. <tool name="XOR Gate">
  14. <a name="size" val="30"/>
  15. </tool>
  16. </lib>
  17. <lib desc="#Plexers" name="2">
  18. <tool name="Multiplexer">
  19. <a name="enable" val="false"/>
  20. </tool>
  21. <tool name="Demultiplexer">
  22. <a name="enable" val="false"/>
  23. </tool>
  24. </lib>
  25. <lib desc="#Arithmetic" name="3"/>
  26. <lib desc="#Memory" name="4">
  27. <tool name="ROM">
  28. <a name="contents">addr/data: 8 8
  29. 0
  30. </a>
  31. </tool>
  32. </lib>
  33. <lib desc="#I/O" name="5">
  34. <tool name="Button">
  35. <a name="facing" val="south"/>
  36. </tool>
  37. <tool name="DipSwitch">
  38. <a name="labelloc" val="south"/>
  39. <a name="number" val="2"/>
  40. </tool>
  41. <tool name="LED">
  42. <a name="facing" val="north"/>
  43. </tool>
  44. </lib>
  45. <lib desc="#HDL-IP" name="6">
  46. <tool name="VHDL Entity">
  47. <a name="content">--------------------------------------------------------------------------------
  48. -- HEIG-VD, institute REDS, 1400 Yverdon-les-Bains
  49. -- Project :
  50. -- File :
  51. -- Autor :
  52. -- Date :
  53. --
  54. --------------------------------------------------------------------------------
  55. -- Description :
  56. --
  57. --------------------------------------------------------------------------------
  58. library ieee;
  59. use ieee.std_logic_1164.all;
  60. --use ieee.numeric_std.all;
  61. entity VHDL_Component is
  62. port(
  63. ------------------------------------------------------------------------------
  64. --Insert input ports below
  65. horloge_i : in std_logic; -- input bit example
  66. val_i : in std_logic_vector(3 downto 0); -- input vector example
  67. ------------------------------------------------------------------------------
  68. --Insert output ports below
  69. max_o : out std_logic; -- output bit example
  70. cpt_o : out std_logic_Vector(3 downto 0) -- output vector example
  71. );
  72. end VHDL_Component;
  73. --------------------------------------------------------------------------------
  74. --Complete your VHDL description below
  75. architecture type_architecture of VHDL_Component is
  76. begin
  77. end type_architecture;
  78. </a>
  79. </tool>
  80. </lib>
  81. <lib desc="#TCL" name="7">
  82. <tool name="TclGeneric">
  83. <a name="content">library ieee;
  84. use ieee.std_logic_1164.all;
  85. entity TCL_Generic is
  86. port(
  87. --Insert input ports below
  88. horloge_i : in std_logic; -- input bit example
  89. val_i : in std_logic_vector(3 downto 0); -- input vector example
  90. --Insert output ports below
  91. max_o : out std_logic; -- output bit example
  92. cpt_o : out std_logic_Vector(3 downto 0) -- output vector example
  93. );
  94. end TCL_Generic;
  95. </a>
  96. </tool>
  97. </lib>
  98. <lib desc="#Base" name="8">
  99. <tool name="Text Tool">
  100. <a name="text" val=""/>
  101. <a name="font" val="SansSerif plain 12"/>
  102. <a name="halign" val="center"/>
  103. <a name="valign" val="base"/>
  104. </tool>
  105. </lib>
  106. <lib desc="#BFH-Praktika" name="9"/>
  107. <main name="main"/>
  108. <options>
  109. <a name="gateUndefined" val="ignore"/>
  110. <a name="simlimit" val="1000"/>
  111. <a name="simrand" val="0"/>
  112. <a name="tickmain" val="half_period"/>
  113. </options>
  114. <mappings>
  115. <tool lib="8" map="Button2" name="Menu Tool"/>
  116. <tool lib="8" map="Button3" name="Menu Tool"/>
  117. <tool lib="8" map="Ctrl Button1" name="Menu Tool"/>
  118. </mappings>
  119. <toolbar>
  120. <tool lib="8" name="Poke Tool"/>
  121. <tool lib="8" name="Edit Tool"/>
  122. <tool lib="8" name="Text Tool">
  123. <a name="text" val=""/>
  124. <a name="font" val="SansSerif plain 12"/>
  125. <a name="halign" val="center"/>
  126. <a name="valign" val="base"/>
  127. </tool>
  128. <sep/>
  129. <tool lib="0" name="Pin"/>
  130. <tool lib="0" name="Pin">
  131. <a name="facing" val="west"/>
  132. <a name="output" val="true"/>
  133. <a name="labelloc" val="east"/>
  134. </tool>
  135. <tool lib="1" name="NOT Gate"/>
  136. <tool lib="1" name="AND Gate"/>
  137. <tool lib="1" name="OR Gate"/>
  138. </toolbar>
  139. <circuit name="main">
  140. <a name="circuit" val="main"/>
  141. <a name="clabel" val=""/>
  142. <a name="clabelup" val="east"/>
  143. <a name="clabelfont" val="SansSerif bold 16"/>
  144. <a name="circuitnamedbox" val="true"/>
  145. <a name="circuitvhdlpath" val=""/>
  146. <wire from="(330,320)" to="(390,320)"/>
  147. <wire from="(330,680)" to="(390,680)"/>
  148. <wire from="(320,240)" to="(320,250)"/>
  149. <wire from="(330,490)" to="(330,500)"/>
  150. <wire from="(330,130)" to="(330,140)"/>
  151. <wire from="(320,600)" to="(320,610)"/>
  152. <wire from="(280,520)" to="(280,540)"/>
  153. <wire from="(270,110)" to="(270,130)"/>
  154. <wire from="(280,160)" to="(280,180)"/>
  155. <wire from="(200,550)" to="(200,640)"/>
  156. <wire from="(200,190)" to="(200,280)"/>
  157. <wire from="(170,410)" to="(170,440)"/>
  158. <wire from="(170,770)" to="(170,800)"/>
  159. <wire from="(180,440)" to="(210,440)"/>
  160. <wire from="(250,270)" to="(280,270)"/>
  161. <wire from="(180,800)" to="(210,800)"/>
  162. <wire from="(170,500)" to="(260,500)"/>
  163. <wire from="(170,140)" to="(260,140)"/>
  164. <wire from="(250,630)" to="(280,630)"/>
  165. <wire from="(260,290)" to="(280,290)"/>
  166. <wire from="(260,770)" to="(280,770)"/>
  167. <wire from="(260,410)" to="(280,410)"/>
  168. <wire from="(260,650)" to="(280,650)"/>
  169. <wire from="(380,290)" to="(400,290)"/>
  170. <wire from="(380,650)" to="(400,650)"/>
  171. <wire from="(400,160)" to="(400,200)"/>
  172. <wire from="(390,230)" to="(390,270)"/>
  173. <wire from="(400,520)" to="(400,560)"/>
  174. <wire from="(390,590)" to="(390,630)"/>
  175. <wire from="(390,590)" to="(400,590)"/>
  176. <wire from="(390,230)" to="(400,230)"/>
  177. <wire from="(380,540)" to="(390,540)"/>
  178. <wire from="(310,190)" to="(320,190)"/>
  179. <wire from="(310,550)" to="(320,550)"/>
  180. <wire from="(380,180)" to="(390,180)"/>
  181. <wire from="(340,460)" to="(350,460)"/>
  182. <wire from="(340,820)" to="(350,820)"/>
  183. <wire from="(320,340)" to="(400,340)"/>
  184. <wire from="(200,640)" to="(210,640)"/>
  185. <wire from="(200,280)" to="(210,280)"/>
  186. <wire from="(320,700)" to="(400,700)"/>
  187. <wire from="(260,500)" to="(260,560)"/>
  188. <wire from="(260,140)" to="(260,200)"/>
  189. <wire from="(330,770)" to="(390,770)"/>
  190. <wire from="(330,410)" to="(390,410)"/>
  191. <wire from="(330,220)" to="(330,230)"/>
  192. <wire from="(320,690)" to="(320,700)"/>
  193. <wire from="(320,330)" to="(320,340)"/>
  194. <wire from="(330,580)" to="(330,590)"/>
  195. <wire from="(280,250)" to="(280,270)"/>
  196. <wire from="(280,610)" to="(280,630)"/>
  197. <wire from="(200,280)" to="(200,370)"/>
  198. <wire from="(200,640)" to="(200,730)"/>
  199. <wire from="(170,140)" to="(170,170)"/>
  200. <wire from="(170,500)" to="(170,530)"/>
  201. <wire from="(180,170)" to="(210,170)"/>
  202. <wire from="(180,530)" to="(210,530)"/>
  203. <wire from="(250,360)" to="(280,360)"/>
  204. <wire from="(170,230)" to="(260,230)"/>
  205. <wire from="(170,590)" to="(260,590)"/>
  206. <wire from="(250,720)" to="(280,720)"/>
  207. <wire from="(260,500)" to="(280,500)"/>
  208. <wire from="(260,740)" to="(280,740)"/>
  209. <wire from="(260,140)" to="(280,140)"/>
  210. <wire from="(260,380)" to="(280,380)"/>
  211. <wire from="(380,380)" to="(400,380)"/>
  212. <wire from="(380,740)" to="(400,740)"/>
  213. <wire from="(400,610)" to="(400,650)"/>
  214. <wire from="(390,680)" to="(390,720)"/>
  215. <wire from="(400,250)" to="(400,290)"/>
  216. <wire from="(390,320)" to="(390,360)"/>
  217. <wire from="(390,320)" to="(400,320)"/>
  218. <wire from="(380,630)" to="(390,630)"/>
  219. <wire from="(390,680)" to="(400,680)"/>
  220. <wire from="(310,280)" to="(320,280)"/>
  221. <wire from="(340,190)" to="(350,190)"/>
  222. <wire from="(310,640)" to="(320,640)"/>
  223. <wire from="(380,270)" to="(390,270)"/>
  224. <wire from="(340,550)" to="(350,550)"/>
  225. <wire from="(200,370)" to="(210,370)"/>
  226. <wire from="(320,790)" to="(400,790)"/>
  227. <wire from="(320,430)" to="(400,430)"/>
  228. <wire from="(200,730)" to="(210,730)"/>
  229. <wire from="(260,230)" to="(260,290)"/>
  230. <wire from="(260,590)" to="(260,650)"/>
  231. <wire from="(200,130)" to="(200,190)"/>
  232. <wire from="(330,500)" to="(390,500)"/>
  233. <wire from="(330,140)" to="(390,140)"/>
  234. <wire from="(320,420)" to="(320,430)"/>
  235. <wire from="(330,670)" to="(330,680)"/>
  236. <wire from="(330,310)" to="(330,320)"/>
  237. <wire from="(320,780)" to="(320,790)"/>
  238. <wire from="(280,700)" to="(280,720)"/>
  239. <wire from="(280,340)" to="(280,360)"/>
  240. <wire from="(200,730)" to="(200,820)"/>
  241. <wire from="(200,370)" to="(200,460)"/>
  242. <wire from="(170,590)" to="(170,620)"/>
  243. <wire from="(170,230)" to="(170,260)"/>
  244. <wire from="(200,820)" to="(200,850)"/>
  245. <wire from="(180,260)" to="(210,260)"/>
  246. <wire from="(180,620)" to="(210,620)"/>
  247. <wire from="(170,680)" to="(260,680)"/>
  248. <wire from="(170,320)" to="(260,320)"/>
  249. <wire from="(250,450)" to="(280,450)"/>
  250. <wire from="(250,810)" to="(280,810)"/>
  251. <wire from="(260,230)" to="(280,230)"/>
  252. <wire from="(260,470)" to="(280,470)"/>
  253. <wire from="(260,590)" to="(280,590)"/>
  254. <wire from="(260,830)" to="(280,830)"/>
  255. <wire from="(380,470)" to="(400,470)"/>
  256. <wire from="(380,830)" to="(400,830)"/>
  257. <wire from="(400,340)" to="(400,380)"/>
  258. <wire from="(390,410)" to="(390,450)"/>
  259. <wire from="(400,700)" to="(400,740)"/>
  260. <wire from="(390,770)" to="(390,810)"/>
  261. <wire from="(390,770)" to="(400,770)"/>
  262. <wire from="(390,410)" to="(400,410)"/>
  263. <wire from="(380,720)" to="(390,720)"/>
  264. <wire from="(310,370)" to="(320,370)"/>
  265. <wire from="(380,360)" to="(390,360)"/>
  266. <wire from="(340,640)" to="(350,640)"/>
  267. <wire from="(340,280)" to="(350,280)"/>
  268. <wire from="(310,730)" to="(320,730)"/>
  269. <wire from="(320,160)" to="(400,160)"/>
  270. <wire from="(320,520)" to="(400,520)"/>
  271. <wire from="(200,820)" to="(210,820)"/>
  272. <wire from="(200,460)" to="(210,460)"/>
  273. <wire from="(260,680)" to="(260,740)"/>
  274. <wire from="(260,320)" to="(260,380)"/>
  275. <wire from="(200,130)" to="(270,130)"/>
  276. <wire from="(270,130)" to="(330,130)"/>
  277. <wire from="(330,230)" to="(390,230)"/>
  278. <wire from="(330,590)" to="(390,590)"/>
  279. <wire from="(320,150)" to="(320,160)"/>
  280. <wire from="(330,400)" to="(330,410)"/>
  281. <wire from="(320,510)" to="(320,520)"/>
  282. <wire from="(330,760)" to="(330,770)"/>
  283. <wire from="(280,430)" to="(280,450)"/>
  284. <wire from="(280,790)" to="(280,810)"/>
  285. <wire from="(200,460)" to="(200,550)"/>
  286. <wire from="(170,320)" to="(170,350)"/>
  287. <wire from="(170,680)" to="(170,710)"/>
  288. <wire from="(180,350)" to="(210,350)"/>
  289. <wire from="(250,180)" to="(280,180)"/>
  290. <wire from="(180,710)" to="(210,710)"/>
  291. <wire from="(170,410)" to="(260,410)"/>
  292. <wire from="(170,770)" to="(260,770)"/>
  293. <wire from="(250,540)" to="(280,540)"/>
  294. <wire from="(260,200)" to="(280,200)"/>
  295. <wire from="(260,680)" to="(280,680)"/>
  296. <wire from="(260,320)" to="(280,320)"/>
  297. <wire from="(260,560)" to="(280,560)"/>
  298. <wire from="(380,200)" to="(400,200)"/>
  299. <wire from="(380,560)" to="(400,560)"/>
  300. <wire from="(390,140)" to="(390,180)"/>
  301. <wire from="(400,790)" to="(400,830)"/>
  302. <wire from="(400,430)" to="(400,470)"/>
  303. <wire from="(390,500)" to="(390,540)"/>
  304. <wire from="(390,500)" to="(400,500)"/>
  305. <wire from="(380,810)" to="(390,810)"/>
  306. <wire from="(310,460)" to="(320,460)"/>
  307. <wire from="(340,370)" to="(350,370)"/>
  308. <wire from="(310,820)" to="(320,820)"/>
  309. <wire from="(390,140)" to="(400,140)"/>
  310. <wire from="(380,450)" to="(390,450)"/>
  311. <wire from="(340,730)" to="(350,730)"/>
  312. <wire from="(320,250)" to="(400,250)"/>
  313. <wire from="(200,550)" to="(210,550)"/>
  314. <wire from="(200,190)" to="(210,190)"/>
  315. <wire from="(320,610)" to="(400,610)"/>
  316. <wire from="(260,410)" to="(260,470)"/>
  317. <wire from="(260,770)" to="(260,830)"/>
  318. <comp lib="1" loc="(310,280)" name="AND Gate">
  319. <a name="size" val="30"/>
  320. </comp>
  321. <comp lib="1" loc="(310,730)" name="AND Gate">
  322. <a name="size" val="30"/>
  323. </comp>
  324. <comp lib="1" loc="(330,760)" name="OR Gate">
  325. <a name="facing" val="south"/>
  326. <a name="size" val="30"/>
  327. </comp>
  328. <comp lib="1" loc="(350,550)" name="AND Gate">
  329. <a name="facing" val="west"/>
  330. <a name="size" val="30"/>
  331. </comp>
  332. <comp lib="5" loc="(440,150)" name="LED"/>
  333. <comp lib="8" loc="(135,377)" name="Text">
  334. <a name="text" val="4"/>
  335. <a name="font" val="SansSerif plain 12"/>
  336. </comp>
  337. <comp lib="1" loc="(320,600)" name="XOR Gate">
  338. <a name="size" val="30"/>
  339. </comp>
  340. <comp lib="1" loc="(350,640)" name="AND Gate">
  341. <a name="facing" val="west"/>
  342. <a name="size" val="30"/>
  343. </comp>
  344. <comp lib="1" loc="(350,820)" name="AND Gate">
  345. <a name="facing" val="west"/>
  346. <a name="size" val="30"/>
  347. </comp>
  348. <comp lib="8" loc="(139,649)" name="Text">
  349. <a name="text" val="32"/>
  350. <a name="font" val="SansSerif plain 12"/>
  351. </comp>
  352. <comp lib="8" loc="(135,197)" name="Text">
  353. <a name="text" val="1"/>
  354. <a name="font" val="SansSerif plain 12"/>
  355. </comp>
  356. <comp lib="5" loc="(160,440)" name="DipSwitch">
  357. <a name="labelvisible" val="true"/>
  358. <a name="number" val="2"/>
  359. </comp>
  360. <comp lib="5" loc="(160,800)" name="DipSwitch">
  361. <a name="labelvisible" val="true"/>
  362. <a name="number" val="2"/>
  363. </comp>
  364. <comp lib="1" loc="(250,720)" name="XOR Gate">
  365. <a name="size" val="30"/>
  366. </comp>
  367. <comp lib="1" loc="(310,550)" name="AND Gate">
  368. <a name="size" val="30"/>
  369. </comp>
  370. <comp lib="5" loc="(440,600)" name="LED"/>
  371. <comp lib="5" loc="(160,620)" name="DipSwitch">
  372. <a name="labelvisible" val="true"/>
  373. <a name="number" val="2"/>
  374. </comp>
  375. <comp lib="1" loc="(350,460)" name="AND Gate">
  376. <a name="facing" val="west"/>
  377. <a name="size" val="30"/>
  378. </comp>
  379. <comp lib="1" loc="(320,240)" name="XOR Gate">
  380. <a name="size" val="30"/>
  381. </comp>
  382. <comp lib="5" loc="(330,850)" name="LED">
  383. <a name="facing" val="north"/>
  384. </comp>
  385. <comp lib="1" loc="(330,310)" name="OR Gate">
  386. <a name="facing" val="south"/>
  387. <a name="size" val="30"/>
  388. </comp>
  389. <comp lib="8" loc="(142,466)" name="Text">
  390. <a name="text" val="8"/>
  391. <a name="font" val="SansSerif plain 12"/>
  392. </comp>
  393. <comp lib="1" loc="(310,370)" name="AND Gate">
  394. <a name="size" val="30"/>
  395. </comp>
  396. <comp lib="5" loc="(160,350)" name="DipSwitch">
  397. <a name="labelvisible" val="true"/>
  398. <a name="number" val="2"/>
  399. </comp>
  400. <comp lib="1" loc="(310,460)" name="AND Gate">
  401. <a name="size" val="30"/>
  402. </comp>
  403. <comp lib="1" loc="(440,510)" name="XOR Gate">
  404. <a name="size" val="30"/>
  405. </comp>
  406. <comp lib="1" loc="(330,580)" name="OR Gate">
  407. <a name="facing" val="south"/>
  408. <a name="size" val="30"/>
  409. </comp>
  410. <comp lib="1" loc="(440,690)" name="XOR Gate">
  411. <a name="size" val="30"/>
  412. </comp>
  413. <comp lib="1" loc="(320,150)" name="XOR Gate">
  414. <a name="size" val="30"/>
  415. </comp>
  416. <comp lib="5" loc="(440,240)" name="LED"/>
  417. <comp lib="5" loc="(440,780)" name="LED"/>
  418. <comp lib="1" loc="(330,400)" name="OR Gate">
  419. <a name="facing" val="south"/>
  420. <a name="size" val="30"/>
  421. </comp>
  422. <comp lib="1" loc="(330,490)" name="OR Gate">
  423. <a name="facing" val="south"/>
  424. <a name="size" val="30"/>
  425. </comp>
  426. <comp lib="5" loc="(160,530)" name="DipSwitch">
  427. <a name="labelvisible" val="true"/>
  428. <a name="number" val="2"/>
  429. </comp>
  430. <comp lib="1" loc="(320,330)" name="XOR Gate">
  431. <a name="size" val="30"/>
  432. </comp>
  433. <comp lib="1" loc="(320,690)" name="XOR Gate">
  434. <a name="size" val="30"/>
  435. </comp>
  436. <comp lib="8" loc="(142,555)" name="Text">
  437. <a name="text" val="16"/>
  438. <a name="font" val="SansSerif plain 12"/>
  439. </comp>
  440. <comp lib="8" loc="(136,287)" name="Text">
  441. <a name="text" val="2"/>
  442. <a name="font" val="SansSerif plain 12"/>
  443. </comp>
  444. <comp lib="8" loc="(144,738)" name="Text">
  445. <a name="text" val="64"/>
  446. <a name="font" val="SansSerif plain 12"/>
  447. </comp>
  448. <comp lib="1" loc="(250,630)" name="XOR Gate">
  449. <a name="size" val="30"/>
  450. </comp>
  451. <comp lib="1" loc="(440,330)" name="XOR Gate">
  452. <a name="size" val="30"/>
  453. </comp>
  454. <comp lib="5" loc="(440,690)" name="LED"/>
  455. <comp lib="1" loc="(250,270)" name="XOR Gate">
  456. <a name="size" val="30"/>
  457. </comp>
  458. <comp lib="1" loc="(350,730)" name="AND Gate">
  459. <a name="facing" val="west"/>
  460. <a name="size" val="30"/>
  461. </comp>
  462. <comp lib="1" loc="(320,420)" name="XOR Gate">
  463. <a name="size" val="30"/>
  464. </comp>
  465. <comp lib="1" loc="(250,450)" name="XOR Gate">
  466. <a name="size" val="30"/>
  467. </comp>
  468. <comp lib="1" loc="(310,640)" name="AND Gate">
  469. <a name="size" val="30"/>
  470. </comp>
  471. <comp lib="1" loc="(330,670)" name="OR Gate">
  472. <a name="facing" val="south"/>
  473. <a name="size" val="30"/>
  474. </comp>
  475. <comp lib="5" loc="(160,260)" name="DipSwitch">
  476. <a name="labelvisible" val="true"/>
  477. <a name="number" val="2"/>
  478. </comp>
  479. <comp lib="1" loc="(440,240)" name="XOR Gate">
  480. <a name="size" val="30"/>
  481. </comp>
  482. <comp lib="1" loc="(440,600)" name="XOR Gate">
  483. <a name="size" val="30"/>
  484. </comp>
  485. <comp lib="1" loc="(320,780)" name="XOR Gate">
  486. <a name="size" val="30"/>
  487. </comp>
  488. <comp lib="1" loc="(250,360)" name="XOR Gate">
  489. <a name="size" val="30"/>
  490. </comp>
  491. <comp lib="1" loc="(310,820)" name="AND Gate">
  492. <a name="size" val="30"/>
  493. </comp>
  494. <comp lib="1" loc="(440,150)" name="XOR Gate">
  495. <a name="size" val="30"/>
  496. </comp>
  497. <comp lib="5" loc="(440,510)" name="LED"/>
  498. <comp lib="8" loc="(142,827)" name="Text">
  499. <a name="text" val="128"/>
  500. <a name="font" val="SansSerif plain 12"/>
  501. </comp>
  502. <comp lib="5" loc="(160,170)" name="DipSwitch">
  503. <a name="labelvisible" val="true"/>
  504. <a name="number" val="2"/>
  505. </comp>
  506. <comp lib="1" loc="(350,370)" name="AND Gate">
  507. <a name="facing" val="west"/>
  508. <a name="size" val="30"/>
  509. </comp>
  510. <comp lib="5" loc="(440,330)" name="LED"/>
  511. <comp lib="5" loc="(160,710)" name="DipSwitch">
  512. <a name="labelvisible" val="true"/>
  513. <a name="number" val="2"/>
  514. </comp>
  515. <comp lib="1" loc="(330,850)" name="OR Gate">
  516. <a name="facing" val="south"/>
  517. <a name="size" val="30"/>
  518. </comp>
  519. <comp lib="1" loc="(320,510)" name="XOR Gate">
  520. <a name="size" val="30"/>
  521. </comp>
  522. <comp lib="1" loc="(330,220)" name="OR Gate">
  523. <a name="facing" val="south"/>
  524. <a name="size" val="30"/>
  525. </comp>
  526. <comp lib="1" loc="(440,420)" name="XOR Gate">
  527. <a name="size" val="30"/>
  528. </comp>
  529. <comp lib="1" loc="(440,780)" name="XOR Gate">
  530. <a name="size" val="30"/>
  531. </comp>
  532. <comp lib="1" loc="(250,810)" name="XOR Gate">
  533. <a name="size" val="30"/>
  534. </comp>
  535. <comp lib="1" loc="(350,190)" name="AND Gate">
  536. <a name="facing" val="west"/>
  537. <a name="size" val="30"/>
  538. </comp>
  539. <comp lib="1" loc="(250,180)" name="XOR Gate">
  540. <a name="size" val="30"/>
  541. </comp>
  542. <comp lib="1" loc="(350,280)" name="AND Gate">
  543. <a name="facing" val="west"/>
  544. <a name="size" val="30"/>
  545. </comp>
  546. <comp lib="1" loc="(250,540)" name="XOR Gate">
  547. <a name="size" val="30"/>
  548. </comp>
  549. <comp lib="1" loc="(310,190)" name="AND Gate">
  550. <a name="size" val="30"/>
  551. </comp>
  552. <comp lib="5" loc="(440,420)" name="LED"/>
  553. <comp lib="5" loc="(270,110)" name="Button">
  554. <a name="facing" val="south"/>
  555. </comp>
  556. </circuit>
  557. </project>